`grep -r clk_74a pocket-template-ana/` finds the following lines ``` pocket-template-ana/src/fpga/apf/apf_constraints.sdc:create_clock -name clk_74a -period 13.468 [get_ports clk_74a] pocket-template-ana/src/fpga/apf/apf_top.v:input wire clk_74a, // mainclk1 pocket-template-ana/src/fpga/ap_core.qsf:set_location_assignment PIN_V15 -to clk_74a pocket-template-ana/src/fpga/ap_core.qsf:set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to clk_74a ``` I converted this to ``` Resource("clk_74a", 0, Pins("PIN_V15", dir="i"), Clock(50e6), Attrs(io_standard="3.3-V LVCMOS")), ``` But… that number inside "Clock"… that's just what I copied from De10-nano What should go in there? Can I convert it from `-period 13.468` ?