``` from amaranth_boards.icestick import * from amaranth_boards.test.blinky import Blinky build_plan = ICEStickPlatform().build(Blinky(), do_build=False, debug_verilog=True) print(build_plan.files["top.debug.v"])