Hi, I meet synthesis issue of; [Synth 8-3332] Sequential element (reg_file/memory(11)_reg[29]) is unused and will be removed from module top. At simulation, the reg_file module and reg are there. Does anyone know the reason why this warning is occurred, and how to fix it?