roughly: ```python trigger_d0 = Signal() with m.If(counter == 0): m.d.sync += trigger_d0.eq(~trigger_d0) def buf(i, o): return Instance("SB_LUT4", a_keep=1, p_LUT_INIT=C(0b10, 16), i_I0=i, i_I1=C(0), i_I2=C(0), i_I3=C(0), o_O=o) def xor(a, b, o): return Instance("SB_LUT4", a_keep=1, p_LUT_INIT=C(0b0110, 16), i_I0=a, i_I1=b, i_I2=C(0), i_I3=C(0), o_O=o) trigger_d1 = Signal() m.submodules.buf_d1 = buf(trigger_d0, trigger_d1) trigger_d2 = Signal() m.submodules.buf_d2 = buf(trigger_d1, trigger_d2) trigger_d3 = Signal() m.submodules.buf_d3 = buf(trigger_d2, trigger_d3) trigger_d4 = Signal() m.submodules.buf_d4 = buf(trigger_d3, trigger_d4) output = Signal() m.submodules.xor = xor(trigger_d1, trigger_d4, output) m.d.comb += [ oe_buf.o.eq(1), io_buf.o.eq(output) ] ```