My suggestion would be to start by doing `self.button_ffwd = am.Signal()`, etc., in your `__init__`. Then you can hook those up in `elaborate` with something like this: ``` if platform is not None: m.d.comb += self.button_ffwd.eq(platform.request("button", 0).i) # ... ```