``` Resource("clk_74a", 0, Pins("PIN_V15", dir="i"), # Notional main clock Clock(74.25e6), Attrs(io_standard="3.3-V LVCMOS")), # -period 13.468 => 74.25 MHz Resource("clk_74b", 1, Pins("H16", dir="i"), # Non-phase-aligned copy of main clock Clock(74.25e6), Attrs(io_standard="1.8 V")), ``` Basing that on the differing values for `set_instance_assignment -name IO_STANDARD` above