Info: ******************************************************************* Info: Running Quartus Prime Shell Info: Version 22.1std.2 Build 922 07/20/2023 SC Lite Edition Info: Copyright (C) 2023 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Tue Nov 7 18:08:25 2023 Info: Command: quartus_sh --flow compile ap_core Info: Quartus(args): compile ap_core Info: Project Name = /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/ap_core Info: Revision Name = ap_core Info (293032): Detected changes in source files. Info (293027): Source file: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc has changed. Info (293032): Detected changes in source files. Info (293027): Source file: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc has changed. Info (293032): Detected changes in source files. Info (293027): Source file: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc has changed. Info: ******************************************************************* Info: Running Quartus Prime Shell Info: Version 22.1std.2 Build 922 07/20/2023 SC Lite Edition Info: Processing started: Tue Nov 7 18:08:25 2023 Info: Command: quartus_sh -t apf/build_id_gen.tcl compile ap_core ap_core Info: Quartus(args): compile ap_core ap_core Info: APF core build date/time generated: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/build_id.mif Info (23030): Evaluation of Tcl script apf/build_id_gen.tcl was successful Info: Quartus Prime Shell was successful. 0 errors, 0 warnings Info: Peak virtual memory: 478 megabytes Info: Processing ended: Tue Nov 7 18:08:25 2023 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 22.1std.2 Build 922 07/20/2023 SC Lite Edition Info: Processing started: Tue Nov 7 18:08:26 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off ap_core -c ap_core Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Info (16304): Mode behavior is affected by advanced setting Fitter Effort (default for this mode is Standard Fit) Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 12 of the 12 processors detected Info (12021): Found 1 design units, including 1 entities, in source file apf/apf_top.v Info (12023): Found entity 1: apf_top File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 44 Info (12021): Found 4 design units, including 4 entities, in source file apf/common.v Info (12023): Found entity 1: synch_2 File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/common.v Line: 39 Info (12023): Found entity 2: synch_3 File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/common.v Line: 62 Info (12023): Found entity 3: bram_block_dp File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/common.v Line: 82 Info (12023): Found entity 4: bram_block_dp_nonstd File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/common.v Line: 120 Info (12021): Found 1 design units, including 1 entities, in source file apf/io_bridge_peripheral.v Info (12023): Found entity 1: io_bridge_peripheral File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/io_bridge_peripheral.v Line: 52 Info (12021): Found 1 design units, including 1 entities, in source file apf/io_pad_controller.v Info (12023): Found entity 1: io_pad_controller File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/io_pad_controller.v Line: 41 Info (12021): Found 1 design units, including 1 entities, in source file apf/mf_ddio_bidir_12.v Info (12023): Found entity 1: mf_ddio_bidir_12 File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/mf_ddio_bidir_12.v Line: 40 Info (12021): Found 1 design units, including 1 entities, in source file apf/mf_datatable.v Info (12023): Found entity 1: mf_datatable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/mf_datatable.v Line: 40 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(569) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 569 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(600) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 600 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(623) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 623 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(685) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 685 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(730) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 730 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(775) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 775 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(820) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 820 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(883) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 883 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(944) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 944 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(947) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 947 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(967) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 967 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(987) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 987 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1007) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1007 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1027) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1027 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1030) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1030 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1050) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1050 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1070) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1070 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1090) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1090 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1110) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1110 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1113) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1113 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1133) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1133 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1153) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1153 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1173) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1173 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1193) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1193 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1196) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1196 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1216) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1216 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1236) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1236 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1256) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1256 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1276) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1276 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1279) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1279 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1299) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1299 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1319) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1319 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1339) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1339 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1359) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1359 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1362) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1362 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1382) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1382 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1402) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1402 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1422) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1422 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1442) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1442 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1445) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1445 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1465) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1465 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1485) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1485 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1505) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1505 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1525) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1525 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1528) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1528 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1548) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1548 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1568) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1568 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1588) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1588 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1608) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1608 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1611) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1611 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1631) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1631 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1651) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1651 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1671) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1671 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1691) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1691 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1694) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1694 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1714) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1714 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1734) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1734 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1754) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1754 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1774) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1774 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1777) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1777 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1797) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1797 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1817) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1817 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1837) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1837 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1857) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1857 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1860) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1860 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1880) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1880 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1900) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1900 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1920) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1920 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1940) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1940 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1943) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1943 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1963) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1963 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(1983) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 1983 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2003) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2003 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2023) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2023 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2026) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2026 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2046) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2046 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2066) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2066 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2086) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2086 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2106) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2106 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2109) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2109 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2129) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2129 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2149) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2149 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2169) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2169 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2189) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2189 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2192) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2192 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2212) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2212 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2232) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2232 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2252) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2252 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2272) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2272 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2275) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2275 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2295) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2295 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2315) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2315 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2335) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2335 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2355) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2355 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2358) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2358 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2378) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2378 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2398) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2398 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2418) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2418 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2438) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2438 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2441) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2441 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2461) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2461 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2481) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2481 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2501) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2501 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2521) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2521 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2524) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2524 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2544) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2544 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2564) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2564 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2584) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2584 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2604) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2604 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2607) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2607 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2627) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2627 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2647) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2647 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2667) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2667 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2687) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2687 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2690) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2690 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2710) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2710 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2730) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2730 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2750) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2750 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2770) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2770 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2773) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2773 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2793) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2793 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2813) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2813 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2833) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2833 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2853) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2853 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2856) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2856 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2876) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2876 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2896) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2896 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2916) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2916 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2936) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2936 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2939) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2939 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2959) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2959 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2979) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2979 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(2999) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 2999 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3019) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3019 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3022) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3022 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3042) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3042 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3062) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3062 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3082) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3082 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3102) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3102 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3105) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3105 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3125) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3125 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3145) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3145 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3165) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3165 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3185) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3185 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3188) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3188 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3208) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3208 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3228) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3228 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3248) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3248 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3268) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3268 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3271) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3271 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3291) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3291 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3311) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3311 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3331) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3331 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3351) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3351 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3354) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3354 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3374) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3374 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3394) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3394 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3414) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3414 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3434) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3434 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3437) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3437 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3457) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3457 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3477) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3477 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3497) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3497 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3517) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3517 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3520) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3520 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3540) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3540 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3560) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3560 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3580) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3580 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3600) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3600 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3603) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3603 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3623) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3623 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3643) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3643 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3663) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3663 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3683) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3683 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3686) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3686 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3706) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3706 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3726) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3726 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3746) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3746 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3766) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3766 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3769) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3769 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3789) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3789 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3809) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3809 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3829) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3829 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3849) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3849 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3852) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3852 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3872) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3872 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3892) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3892 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3912) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3912 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3932) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3932 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3935) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3935 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3955) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3955 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3975) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3975 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(3995) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3995 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4015) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4015 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4018) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4018 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4038) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4038 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4058) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4058 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4078) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4078 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4098) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4098 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4101) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4101 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4121) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4121 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4141) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4141 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4161) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4161 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4181) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4181 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4184) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4184 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4204) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4204 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4224) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4224 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4244) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4244 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4264) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4264 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4267) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4267 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4287) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4287 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4307) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4307 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4327) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4327 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4347) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4347 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4350) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4350 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4370) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4370 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4390) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4390 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4410) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4410 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4430) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4430 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4433) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4433 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4453) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4453 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4473) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4473 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4493) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4493 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4513) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4513 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4516) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4516 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4536) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4536 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4556) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4556 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4576) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4576 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4596) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4596 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4599) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4599 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4619) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4619 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4639) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4639 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4659) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4659 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4679) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4679 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4682) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4682 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4702) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4702 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4722) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4722 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4742) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4742 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4762) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4762 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4765) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4765 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4785) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4785 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4805) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4805 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4825) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4825 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4845) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4845 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4848) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4848 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4868) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4868 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4888) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4888 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4908) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4908 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4928) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4928 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4931) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4931 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4951) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4951 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4971) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4971 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(4991) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 4991 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5011) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5011 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5014) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5014 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5034) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5034 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5054) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5054 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5074) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5074 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5094) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5094 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5097) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5097 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5117) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5117 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5137) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5137 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5157) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5157 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5177) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5177 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5180) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5180 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5200) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5200 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5220) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5220 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5240) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5240 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5260) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5260 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5263) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5263 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5283) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5283 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5303) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5303 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5323) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5323 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5343) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5343 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5346) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5346 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5366) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5366 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5386) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5386 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5406) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5406 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5426) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5426 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5429) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5429 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5449) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5449 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5469) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5469 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5489) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5489 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5509) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5509 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5512) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5512 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5532) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5532 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5552) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5552 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5572) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5572 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5592) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5592 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5595) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5595 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5615) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5615 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5635) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5635 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5655) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5655 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5675) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5675 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5678) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5678 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5698) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5698 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5718) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5718 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5738) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5738 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5758) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5758 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5761) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5761 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5781) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5781 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5801) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5801 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5821) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5821 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5841) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5841 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5844) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5844 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5864) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5864 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5884) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5884 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5904) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5904 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5924) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5924 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5927) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5927 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5947) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5947 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5967) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5967 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(5987) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 5987 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6007) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6007 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6010) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6010 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6030) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6030 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6050) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6050 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6070) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6070 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6090) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6090 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6093) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6093 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6113) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6113 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6133) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6133 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6153) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6153 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6173) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6173 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6176) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6176 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6196) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6196 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6216) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6216 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6236) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6236 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6256) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6256 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6259) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6259 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6279) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6279 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6299) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6299 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6319) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6319 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6339) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6339 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6342) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6342 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6362) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6362 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6382) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6382 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6402) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6402 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6422) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6422 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6425) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6425 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6445) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6445 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6465) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6465 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6485) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6485 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6505) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6505 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6508) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6508 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6528) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6528 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6548) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6548 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6568) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6568 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6588) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6588 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6591) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6591 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6611) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6611 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6631) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6631 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6651) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6651 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6671) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6671 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6674) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6674 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6694) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6694 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6714) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6714 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6734) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6734 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6754) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6754 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6757) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6757 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6777) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6777 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6797) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6797 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6817) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6817 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6837) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6837 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6840) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6840 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6860) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6860 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6880) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6880 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6900) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6900 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6920) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6920 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6923) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6923 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6943) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6943 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6963) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6963 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(6983) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 6983 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7003) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7003 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7006) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7006 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7026) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7026 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7046) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7046 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7066) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7066 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7086) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7086 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7089) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7089 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7109) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7109 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7129) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7129 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7149) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7149 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7169) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7169 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7172) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7172 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7192) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7192 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7212) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7212 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7232) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7232 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7252) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7252 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7255) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7255 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7275) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7275 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7295) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7295 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7315) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7315 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7335) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7335 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7338) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7338 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7358) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7358 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7378) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7378 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7398) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7398 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7418) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7418 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7421) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7421 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7441) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7441 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7461) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7461 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7481) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7481 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7501) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7501 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7504) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7504 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7524) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7524 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7544) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7544 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7564) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7564 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7584) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7584 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7587) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7587 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7607) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7607 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7627) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7627 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7647) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7647 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7667) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7667 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7670) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7670 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7690) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7690 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7710) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7710 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7730) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7730 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7750) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7750 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7753) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7753 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7773) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7773 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7793) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7793 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7813) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7813 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7833) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7833 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7836) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7836 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7856) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7856 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7876) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7876 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7896) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7896 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7916) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7916 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7919) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7919 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7939) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7939 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7959) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7959 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7979) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7979 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(7999) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 7999 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8002) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8002 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8022) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8022 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8042) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8042 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8062) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8062 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8082) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8082 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8085) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8085 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8105) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8105 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8125) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8125 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8145) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8145 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8165) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8165 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8168) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8168 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8188) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8188 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8208) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8208 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8228) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8228 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8248) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8248 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8251) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8251 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8271) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8271 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8291) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8291 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8311) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8311 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8331) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8331 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8334) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8334 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8354) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8354 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8374) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8374 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8394) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8394 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8414) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8414 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8417) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8417 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8437) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8437 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8457) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8457 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8477) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8477 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8497) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8497 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8500) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8500 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8520) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8520 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8540) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8540 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8560) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8560 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8580) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8580 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8583) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8583 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8603) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8603 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8623) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8623 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8643) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8643 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8663) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8663 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8666) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8666 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8686) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8686 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8706) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8706 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8726) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8726 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8746) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8746 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8749) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8749 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8769) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8769 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8789) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8789 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8809) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8809 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8829) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8829 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8832) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8832 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8852) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8852 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8872) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8872 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8892) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8892 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8912) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8912 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8915) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8915 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8935) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8935 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8955) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8955 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8975) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8975 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8995) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8995 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(8998) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 8998 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9018) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9018 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9038) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9038 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9058) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9058 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9078) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9078 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9081) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9081 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9101) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9101 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9121) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9121 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9141) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9141 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9161) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9161 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9164) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9164 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9184) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9184 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9204) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9204 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9224) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9224 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9244) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9244 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9247) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9247 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9267) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9267 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9287) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9287 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9307) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9307 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9327) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9327 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9330) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9330 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9350) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9350 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9370) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9370 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9390) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9390 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9410) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9410 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9413) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9413 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9433) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9433 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9453) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9453 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9473) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9473 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9493) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9493 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9496) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9496 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9516) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9516 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9536) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9536 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9556) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9556 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9576) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9576 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9579) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9579 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9599) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9599 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9619) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9619 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9639) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9639 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9659) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9659 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9662) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9662 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9682) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9682 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9702) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9702 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9722) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9722 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9742) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9742 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9745) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9745 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9765) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9765 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9785) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9785 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9805) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9805 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9825) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9825 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9828) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9828 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9848) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9848 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9868) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9868 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9888) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9888 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9908) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9908 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9911) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9911 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9931) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9931 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9951) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9951 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9971) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9971 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9991) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9991 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(9994) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 9994 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10014) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10014 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10034) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10034 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10054) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10054 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10074) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10074 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10077) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10077 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10097) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10097 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10117) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10117 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10137) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10137 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10157) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10157 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10160) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10160 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10180) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10180 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10200) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10200 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10220) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10220 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10240) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10240 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10243) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10243 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10263) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10263 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10283) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10283 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10303) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10303 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10323) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10323 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10326) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10326 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10346) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10346 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10366) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10366 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10386) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10386 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10406) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10406 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10409) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10409 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10429) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10429 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10449) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10449 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10469) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10469 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10489) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10489 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10492) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10492 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10512) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10512 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10532) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10532 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10552) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10552 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10572) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10572 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10575) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10575 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10595) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10595 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10615) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10615 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10635) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10635 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10655) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10655 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10658) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10658 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10678) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10678 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10698) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10698 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10718) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10718 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10738) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10738 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10741) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10741 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10761) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10761 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10781) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10781 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10801) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10801 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10821) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10821 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10824) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10824 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10844) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10844 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10864) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10864 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10884) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10884 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10904) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10904 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10907) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10907 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10927) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10927 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10947) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10947 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10967) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10967 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10987) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10987 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(10990) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 10990 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11010) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11010 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11030) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11030 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11050) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11050 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11070) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11070 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11073) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11073 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11093) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11093 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11113) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11113 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11133) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11133 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11153) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11153 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11156) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11156 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11176) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11176 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11196) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11196 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11216) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11216 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11236) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11236 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11239) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11239 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11259) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11259 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11279) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11279 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11299) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11299 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11319) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11319 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11322) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11322 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11342) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11342 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11362) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11362 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11382) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11382 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11402) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11402 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11405) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11405 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11425) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11425 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11445) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11445 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11465) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11465 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11485) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11485 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11488) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11488 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11508) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11508 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11528) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11528 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11548) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11548 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11568) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11568 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11571) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11571 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11591) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11591 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11611) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11611 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11631) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11631 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11651) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11651 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11654) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11654 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11674) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11674 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11694) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11694 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11714) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11714 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11734) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11734 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11737) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11737 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11757) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11757 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11777) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11777 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11797) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11797 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11817) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11817 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11820) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11820 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11840) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11840 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11860) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11860 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11880) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11880 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11900) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11900 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11903) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11903 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11923) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11923 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11943) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11943 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11963) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11963 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11983) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11983 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(11986) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 11986 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12006) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12006 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12026) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12026 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12046) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12046 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12066) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12066 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12069) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12069 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12089) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12089 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12109) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12109 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12129) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12129 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12149) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12149 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12152) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12152 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12172) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12172 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12192) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12192 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12212) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12212 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12232) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12232 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12235) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12235 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12255) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12255 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12275) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12275 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12295) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12295 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12315) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12315 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12318) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12318 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12338) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12338 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12358) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12358 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12378) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12378 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12398) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12398 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12401) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12401 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12421) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12421 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12441) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12441 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12461) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12461 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12481) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12481 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12484) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12484 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12504) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12504 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12524) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12524 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12544) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12544 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12564) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12564 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12567) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12567 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12587) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12587 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12607) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12607 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12627) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12627 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12647) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12647 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12650) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12650 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12670) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12670 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12690) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12690 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12710) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12710 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12730) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12730 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12733) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12733 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12753) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12753 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12773) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12773 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12793) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12793 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12813) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12813 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12816) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12816 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12836) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12836 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12856) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12856 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12876) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12876 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12896) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12896 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12899) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12899 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12919) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12919 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12939) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12939 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12959) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12959 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12979) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12979 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(12982) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 12982 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13002) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13002 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13022) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13022 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13042) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13042 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13062) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13062 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13065) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13065 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13085) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13085 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13105) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13105 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13125) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13125 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13145) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13145 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13148) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13148 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13168) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13168 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13188) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13188 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13208) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13208 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13228) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13228 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13231) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13231 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13251) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13251 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13271) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13271 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13291) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13291 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13311) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13311 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13314) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13314 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13334) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13334 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13354) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13354 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13374) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13374 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13394) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13394 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13397) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13397 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13417) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13417 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13437) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13437 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13457) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13457 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13477) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13477 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13480) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13480 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13500) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13500 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13520) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13520 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13540) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13540 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13560) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13560 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13563) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13563 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13583) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13583 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13603) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13603 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13623) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13623 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13643) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13643 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13646) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13646 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13666) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13666 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13686) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13686 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13706) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13706 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13726) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13726 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13729) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13729 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13749) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13749 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13769) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13769 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13789) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13789 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13809) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13809 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13812) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13812 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13832) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13832 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13852) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13852 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13872) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13872 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13892) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13892 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13895) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13895 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13915) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13915 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13935) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13935 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13955) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13955 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13975) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13975 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13978) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13978 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(13998) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 13998 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(14018) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14018 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(14038) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14038 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(14058) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14058 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(14061) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14061 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(14081) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14081 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(14101) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14101 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(14121) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14121 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(14141) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14141 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(14144) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14144 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(14164) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14164 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(14184) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14184 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(14204) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14204 Warning (10335): Unrecognized synthesis attribute "full_case" at core/amaranth_core.v(14260) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14260 Info (12021): Found 2 design units, including 2 entities, in source file core/amaranth_core.v Info (12023): Found entity 1: amaranth_core File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 3 Info (12023): Found entity 2: amaranth_core.video_clk_div File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14739 Info (12021): Found 1 design units, including 1 entities, in source file core/core_top.v Info (12023): Found entity 1: core_top File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_top.v Line: 9 Info (12021): Found 1 design units, including 1 entities, in source file core/core_bridge_cmd.v Info (12023): Found entity 1: core_bridge_cmd File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 18 Info (12021): Found 1 design units, including 1 entities, in source file core/mf_pllbase.v Info (12023): Found entity 1: mf_pllbase File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/mf_pllbase.v Line: 8 Info (12021): Found 1 design units, including 1 entities, in source file core/mf_pllbase/mf_pllbase_0002.v Info (12023): Found entity 1: mf_pllbase_0002 File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/mf_pllbase/mf_pllbase_0002.v Line: 2 Info (12127): Elaborating entity "apf_top" for the top level hierarchy Info (12128): Elaborating entity "mf_ddio_bidir_12" for hierarchy "mf_ddio_bidir_12:isco" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 253 Info (12128): Elaborating entity "altddio_bidir" for hierarchy "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/mf_ddio_bidir_12.v Line: 81 Info (12130): Elaborated megafunction instantiation "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/mf_ddio_bidir_12.v Line: 81 Info (12133): Instantiated megafunction "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component" with the following parameter: File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/mf_ddio_bidir_12.v Line: 81 Info (12134): Parameter "extend_oe_disable" = "OFF" Info (12134): Parameter "implement_input_in_lcell" = "OFF" Info (12134): Parameter "intended_device_family" = "Cyclone V" Info (12134): Parameter "invert_output" = "OFF" Info (12134): Parameter "lpm_hint" = "UNUSED" Info (12134): Parameter "lpm_type" = "altddio_bidir" Info (12134): Parameter "oe_reg" = "UNREGISTERED" Info (12134): Parameter "power_up_high" = "OFF" Info (12134): Parameter "width" = "12" Info (12021): Found 1 design units, including 1 entities, in source file db/ddio_bidir_euo.tdf Info (12023): Found entity 1: ddio_bidir_euo File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 33 Info (12128): Elaborating entity "ddio_bidir_euo" for hierarchy "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated" File: /home/mcc/usr/intelFPGA_lite/22.1std/quartus/libraries/megafunctions/altddio_bidir.tdf Line: 116 Info (12128): Elaborating entity "io_pad_controller" for hierarchy "io_pad_controller:ipm" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 314 Info (12128): Elaborating entity "synch_3" for hierarchy "io_pad_controller:ipm|synch_3:s00" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/io_pad_controller.v Line: 65 Info (12128): Elaborating entity "io_bridge_peripheral" for hierarchy "io_bridge_peripheral:ibs" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 343 Info (12128): Elaborating entity "core_top" for hierarchy "core_top:ic" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 472 Warning (10762): Verilog HDL Case Statement warning at core_top.v(315): can't check case statement for completeness because the case expression has too many possible states File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_top.v Line: 315 Info (12128): Elaborating entity "core_bridge_cmd" for hierarchy "core_top:ic|core_bridge_cmd:icb" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_top.v Line: 491 Warning (10036): Verilog HDL or VHDL warning at core_bridge_cmd.v(139): object "host_2C" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 139 Warning (10858): Verilog HDL warning at core_bridge_cmd.v(144): object host_4C used but never assigned File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 144 Warning (10036): Verilog HDL or VHDL warning at core_bridge_cmd.v(170): object "target_40" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 170 Warning (10036): Verilog HDL or VHDL warning at core_bridge_cmd.v(171): object "target_44" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 171 Warning (10036): Verilog HDL or VHDL warning at core_bridge_cmd.v(172): object "target_48" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 172 Warning (10036): Verilog HDL or VHDL warning at core_bridge_cmd.v(173): object "target_4C" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 173 Warning (10230): Verilog HDL assignment warning at core_bridge_cmd.v(238): truncated value with size 32 to match size of target (10) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 238 Warning (10762): Verilog HDL Case Statement warning at core_bridge_cmd.v(241): can't check case statement for completeness because the case expression has too many possible states File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 241 Warning (10762): Verilog HDL Case Statement warning at core_bridge_cmd.v(276): can't check case statement for completeness because the case expression has too many possible states File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 276 Warning (10030): Net "host_4C" at core_bridge_cmd.v(144) has no driver or initial value, using a default initial value '0' File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 144 Info (12128): Elaborating entity "mf_datatable" for hierarchy "core_top:ic|core_bridge_cmd:icb|mf_datatable:idt" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 576 Info (12128): Elaborating entity "altsyncram" for hierarchy "core_top:ic|core_bridge_cmd:icb|mf_datatable:idt|altsyncram:altsyncram_component" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/mf_datatable.v Line: 100 Info (12130): Elaborated megafunction instantiation "core_top:ic|core_bridge_cmd:icb|mf_datatable:idt|altsyncram:altsyncram_component" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/mf_datatable.v Line: 100 Info (12133): Instantiated megafunction "core_top:ic|core_bridge_cmd:icb|mf_datatable:idt|altsyncram:altsyncram_component" with the following parameter: File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/mf_datatable.v Line: 100 Info (12134): Parameter "address_reg_b" = "CLOCK1" Info (12134): Parameter "clock_enable_input_a" = "BYPASS" Info (12134): Parameter "clock_enable_input_b" = "BYPASS" Info (12134): Parameter "clock_enable_output_a" = "BYPASS" Info (12134): Parameter "clock_enable_output_b" = "BYPASS" Info (12134): Parameter "indata_reg_b" = "CLOCK1" Info (12134): Parameter "init_file" = "./apf/build_id.mif" Info (12134): Parameter "intended_device_family" = "Cyclone V" Info (12134): Parameter "lpm_type" = "altsyncram" Info (12134): Parameter "numwords_a" = "256" Info (12134): Parameter "numwords_b" = "256" Info (12134): Parameter "operation_mode" = "BIDIR_DUAL_PORT" Info (12134): Parameter "outdata_aclr_a" = "NONE" Info (12134): Parameter "outdata_aclr_b" = "NONE" Info (12134): Parameter "outdata_reg_a" = "CLOCK0" Info (12134): Parameter "outdata_reg_b" = "CLOCK1" Info (12134): Parameter "power_up_uninitialized" = "FALSE" Info (12134): Parameter "read_during_write_mode_port_a" = "NEW_DATA_NO_NBE_READ" Info (12134): Parameter "read_during_write_mode_port_b" = "NEW_DATA_NO_NBE_READ" Info (12134): Parameter "widthad_a" = "8" Info (12134): Parameter "widthad_b" = "8" Info (12134): Parameter "width_a" = "32" Info (12134): Parameter "width_b" = "32" Info (12134): Parameter "width_byteena_a" = "1" Info (12134): Parameter "width_byteena_b" = "1" Info (12134): Parameter "wrcontrol_wraddress_reg_b" = "CLOCK1" Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_ltk2.tdf Info (12023): Found entity 1: altsyncram_ltk2 File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/altsyncram_ltk2.tdf Line: 28 Info (12128): Elaborating entity "altsyncram_ltk2" for hierarchy "core_top:ic|core_bridge_cmd:icb|mf_datatable:idt|altsyncram:altsyncram_component|altsyncram_ltk2:auto_generated" File: /home/mcc/usr/intelFPGA_lite/22.1std/quartus/libraries/megafunctions/altsyncram.tdf Line: 792 Warning (113028): 253 out of 256 addresses are uninitialized. The Quartus Prime software will initialize them to "0". There are 2 warnings found, and 2 warnings are reported. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/build_id.mif Line: 1 Warning (113027): Addresses ranging from 0 to 223 are not initialized File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/build_id.mif Line: 1 Warning (113027): Addresses ranging from 227 to 255 are not initialized File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/build_id.mif Line: 1 Info (12128): Elaborating entity "amaranth_core" for hierarchy "core_top:ic|amaranth_core:ac" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_top.v Line: 572 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(51): object "$191" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 51 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(57): object "$205" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 57 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(69): object "$226" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 69 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(76): object "$237" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 76 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(80): object "$244" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 80 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(83): object "$249" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 83 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(86): object "$252" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 86 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(98): object "$273" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 98 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(102): object "$280" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 102 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(164): object "audgen_channel_select" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 164 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(175): object "audgen_slck" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 175 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(243): object "init_done$next" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 243 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(265): object "release" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 265 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(266): object "release$140" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 266 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(267): object "release$151" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 267 Warning (10036): Verilog HDL or VHDL warning at amaranth_core.v(268): object "release$162" assigned a value but never read File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 268 Warning (10766): Verilog HDL Synthesis Attribute warning at amaranth_core.v(570): ignoring full_case attribute on case statement with explicit default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 570 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(567): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 567 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(565): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 565 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(582): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 582 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(580): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 580 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(578): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 578 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(588): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 588 Warning (10766): Verilog HDL Synthesis Attribute warning at amaranth_core.v(601): ignoring full_case attribute on case statement with explicit default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 601 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(598): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 598 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(596): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 596 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(609): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 609 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(613): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 613 Warning (10766): Verilog HDL Synthesis Attribute warning at amaranth_core.v(624): ignoring full_case attribute on case statement with explicit default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 624 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(621): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 621 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(631): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 631 Warning (10935): Verilog HDL Casex/Casez warning at amaranth_core.v(642): casex/casez item expression overlaps with a previous casex/casez item expression File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 642 Warning (10935): Verilog HDL Casex/Casez warning at amaranth_core.v(647): casex/casez item expression overlaps with a previous casex/casez item expression File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 647 Warning (10763): Verilog HDL warning at amaranth_core.v(639): case statement has overlapping case item expressions with non-constant or don't care bits - unable to check case statement for completeness File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 639 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(639): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 639 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(650): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 650 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(660): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 660 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(658): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 658 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(669): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 669 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(667): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 667 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(665): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 665 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(675): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 675 Warning (10766): Verilog HDL Synthesis Attribute warning at amaranth_core.v(686): ignoring full_case attribute on case statement with explicit default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 686 Warning (10935): Verilog HDL Casex/Casez warning at amaranth_core.v(692): casex/casez item expression overlaps with a previous casex/casez item expression File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 692 Warning (10763): Verilog HDL warning at amaranth_core.v(683): case statement has overlapping case item expressions with non-constant or don't care bits - unable to check case statement for completeness File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 683 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(683): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 683 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(695): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 695 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(705): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 705 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(703): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 703 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(714): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 714 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(712): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 712 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(710): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 710 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(720): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 720 Warning (10766): Verilog HDL Synthesis Attribute warning at amaranth_core.v(731): ignoring full_case attribute on case statement with explicit default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 731 Warning (10935): Verilog HDL Casex/Casez warning at amaranth_core.v(737): casex/casez item expression overlaps with a previous casex/casez item expression File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 737 Warning (10763): Verilog HDL warning at amaranth_core.v(728): case statement has overlapping case item expressions with non-constant or don't care bits - unable to check case statement for completeness File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 728 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(728): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 728 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(740): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 740 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(750): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 750 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(748): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 748 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(759): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 759 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(757): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 757 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(755): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 755 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(765): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 765 Warning (10766): Verilog HDL Synthesis Attribute warning at amaranth_core.v(776): ignoring full_case attribute on case statement with explicit default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 776 Warning (10935): Verilog HDL Casex/Casez warning at amaranth_core.v(782): casex/casez item expression overlaps with a previous casex/casez item expression File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 782 Warning (10763): Verilog HDL warning at amaranth_core.v(773): case statement has overlapping case item expressions with non-constant or don't care bits - unable to check case statement for completeness File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 773 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(773): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 773 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(785): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 785 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(795): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 795 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(793): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 793 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(804): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 804 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(802): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 802 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(800): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 800 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(810): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 810 Warning (10766): Verilog HDL Synthesis Attribute warning at amaranth_core.v(821): ignoring full_case attribute on case statement with explicit default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 821 Warning (10935): Verilog HDL Casex/Casez warning at amaranth_core.v(827): casex/casez item expression overlaps with a previous casex/casez item expression File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 827 Warning (10763): Verilog HDL warning at amaranth_core.v(818): case statement has overlapping case item expressions with non-constant or don't care bits - unable to check case statement for completeness File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 818 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(818): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 818 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(830): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 830 Warning (10935): Verilog HDL Casex/Casez warning at amaranth_core.v(841): casex/casez item expression overlaps with a previous casex/casez item expression File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 841 Warning (10935): Verilog HDL Casex/Casez warning at amaranth_core.v(843): casex/casez item expression overlaps with a previous casex/casez item expression File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 843 Warning (10935): Verilog HDL Casex/Casez warning at amaranth_core.v(845): casex/casez item expression overlaps with a previous casex/casez item expression File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 845 Warning (10763): Verilog HDL warning at amaranth_core.v(838): case statement has overlapping case item expressions with non-constant or don't care bits - unable to check case statement for completeness File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 838 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(838): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 838 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(848): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 848 Warning (10935): Verilog HDL Casex/Casez warning at amaranth_core.v(859): casex/casez item expression overlaps with a previous casex/casez item expression File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 859 Warning (10935): Verilog HDL Casex/Casez warning at amaranth_core.v(861): casex/casez item expression overlaps with a previous casex/casez item expression File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 861 Warning (10935): Verilog HDL Casex/Casez warning at amaranth_core.v(863): casex/casez item expression overlaps with a previous casex/casez item expression File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 863 Warning (10763): Verilog HDL warning at amaranth_core.v(856): case statement has overlapping case item expressions with non-constant or don't care bits - unable to check case statement for completeness File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 856 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(856): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 856 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(870): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 870 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(868): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 868 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(866): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 866 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(876): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 876 Warning (10766): Verilog HDL Synthesis Attribute warning at amaranth_core.v(884): ignoring full_case attribute on case statement with explicit default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 884 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(898): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 898 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(896): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 896 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(894): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 894 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(904): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 904 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(908): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 908 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(920): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 920 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(916): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 916 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(926): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 926 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(937): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 937 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(941): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 941 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(934): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 934 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14228): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14228 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14232): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14232 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14242): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14242 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14240): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14240 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14247): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14247 Warning (10766): Verilog HDL Synthesis Attribute warning at amaranth_core.v(14261): ignoring full_case attribute on case statement with explicit default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14261 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14267): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14267 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14257): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14257 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14255): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14255 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14274): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14274 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14288): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14288 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14292): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14292 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14284): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14284 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14282): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14282 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14308): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14308 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14312): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14312 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14316): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14316 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14326): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14326 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14305): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14305 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14303): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14303 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14339): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14339 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14343): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14343 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14357): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14357 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14361): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14361 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14353): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14353 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14351): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14351 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14378): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14378 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14382): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14382 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14374): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14374 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14372): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14372 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14399): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14399 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14403): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14403 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14395): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14395 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14393): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14393 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14418): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14418 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14416): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14416 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14414): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14414 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14424): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14424 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14434): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14434 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14432): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14432 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14439): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14439 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14443): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14443 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14455): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14455 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14453): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14453 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14451): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14451 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14461): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14461 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14465): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14465 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14477): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14477 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14475): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14475 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14473): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14473 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14487): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14487 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14485): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14485 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14483): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14483 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14493): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14493 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14501): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14501 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14505): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14505 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14515): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14515 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14513): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14513 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14520): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14520 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14528): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14528 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14532): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14532 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14540): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14540 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14544): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14544 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14556): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14556 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14552): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14552 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14562): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14562 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14576): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14576 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14572): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14572 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14570): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14570 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14583): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14583 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14591): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14591 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14595): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14595 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14603): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14603 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14607): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14607 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14615): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14615 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14619): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14619 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14629): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14629 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14627): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14627 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14634): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14634 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14642): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14642 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14646): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14646 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14654): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14654 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14658): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14658 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14668): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14668 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14666): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14666 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14677): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14677 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14685): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14685 Info (12128): Elaborating entity "amaranth_core.video_clk_div" for hierarchy "core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 561 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14764): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14764 Warning (10270): Verilog HDL Case Statement warning at amaranth_core.v(14772): incomplete case statement has no default case item File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 14772 Info (284007): State machine "|apf_top|core_top:ic|amaranth_core:ac|automata" will be implemented as a safe state machine. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 196 Info (284007): State machine "|apf_top|core_top:ic|amaranth_core:ac|automata_next" will be implemented as a safe state machine. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/amaranth_core.v Line: 198 Info (284007): State machine "|apf_top|core_top:ic|core_bridge_cmd:icb|tstate" will be implemented as a safe state machine. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 180 Info (284007): State machine "|apf_top|core_top:ic|core_bridge_cmd:icb|hstate" will be implemented as a safe state machine. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_bridge_cmd.v Line: 157 Info (284007): State machine "|apf_top|io_bridge_peripheral:ibs|rx_latch_idx" will be implemented as a safe state machine. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/io_bridge_peripheral.v Line: 304 Info (284007): State machine "|apf_top|io_bridge_peripheral:ibs|spis" will be implemented as a safe state machine. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/io_bridge_peripheral.v Line: 106 Info (284007): State machine "|apf_top|io_bridge_peripheral:ibs|state" will be implemented as a safe state machine. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/io_bridge_peripheral.v Line: 86 Info (284007): State machine "|apf_top|io_pad_controller:ipm|tr_state" will be implemented as a safe state machine. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/io_pad_controller.v Line: 223 Info (284007): State machine "|apf_top|io_pad_controller:ipm|state" will be implemented as a safe state machine. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/io_pad_controller.v Line: 91 Warning (12241): 11 hierarchies have connectivity warnings - see the Connectivity Checks report folder Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "speed" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched Warning (13039): The following bidirectional pins have no drivers Warning (13040): bidirectional pin "aux_sda" has no driver File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 208 Warning (13032): The following tri-state nodes are fed by constants Warning (13033): The pin "cart_tran_bank0[4]" is fed by VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 73 Warning (13033): The pin "cart_tran_bank0[5]" is fed by VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 73 Warning (13033): The pin "cart_tran_bank0[6]" is fed by VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 73 Warning (13033): The pin "cart_tran_bank0[7]" is fed by VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 73 Warning (13033): The pin "cart_tran_pin30" is fed by GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 77 Warning (13009): TRI or OPNDRN buffers permanently enabled Warning (13010): Node "cart_tran_bank0[4]~synth" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 73 Warning (13010): Node "cart_tran_bank0[5]~synth" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 73 Warning (13010): Node "cart_tran_bank0[6]~synth" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 73 Warning (13010): Node "cart_tran_bank0[7]~synth" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 73 Warning (13010): Node "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[0]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[1]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[2]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[3]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[4]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[5]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[6]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[7]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[8]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[9]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[10]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[11]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:isclk|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[0]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:iscc|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[1]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:iscc|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[0]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:iscc|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[3]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13010): Node "mf_ddio_bidir_12:iscc|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|tri_buf1a[2]" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/ddio_bidir_euo.tdf Line: 59 Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "cart_tran_bank2_dir" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 58 Warning (13410): Pin "cart_tran_bank3_dir" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 62 Warning (13410): Pin "cart_tran_bank1_dir" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 66 Warning (13410): Pin "cart_tran_bank0_dir" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 74 Warning (13410): Pin "cart_pin30_pwroff_reset" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 83 Warning (13410): Pin "cart_tran_pin31_dir" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 87 Warning (13410): Pin "port_ir_tx" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 92 Warning (13410): Pin "port_ir_rx_disable" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 93 Warning (13410): Pin "port_tran_si_dir" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 97 Warning (13410): Pin "port_tran_so_dir" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 99 Warning (13410): Pin "port_tran_sck_dir" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 101 Warning (13410): Pin "port_tran_sd_dir" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 103 Warning (13410): Pin "cram0_a[16]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 133 Warning (13410): Pin "cram0_a[17]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 133 Warning (13410): Pin "cram0_a[18]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 133 Warning (13410): Pin "cram0_a[19]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 133 Warning (13410): Pin "cram0_a[20]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 133 Warning (13410): Pin "cram0_a[21]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 133 Warning (13410): Pin "cram0_clk" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 136 Warning (13410): Pin "cram0_adv_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 137 Warning (13410): Pin "cram0_cre" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 138 Warning (13410): Pin "cram0_ce0_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 139 Warning (13410): Pin "cram0_ce1_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 140 Warning (13410): Pin "cram0_oe_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 141 Warning (13410): Pin "cram0_we_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 142 Warning (13410): Pin "cram0_ub_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 143 Warning (13410): Pin "cram0_lb_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 144 Warning (13410): Pin "cram1_a[16]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 146 Warning (13410): Pin "cram1_a[17]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 146 Warning (13410): Pin "cram1_a[18]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 146 Warning (13410): Pin "cram1_a[19]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 146 Warning (13410): Pin "cram1_a[20]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 146 Warning (13410): Pin "cram1_a[21]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 146 Warning (13410): Pin "cram1_clk" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 149 Warning (13410): Pin "cram1_adv_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 150 Warning (13410): Pin "cram1_cre" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 151 Warning (13410): Pin "cram1_ce0_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 152 Warning (13410): Pin "cram1_ce1_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 153 Warning (13410): Pin "cram1_oe_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 154 Warning (13410): Pin "cram1_we_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 155 Warning (13410): Pin "cram1_ub_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 156 Warning (13410): Pin "cram1_lb_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 157 Warning (13410): Pin "dram_a[0]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 162 Warning (13410): Pin "dram_a[1]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 162 Warning (13410): Pin "dram_a[2]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 162 Warning (13410): Pin "dram_a[3]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 162 Warning (13410): Pin "dram_a[4]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 162 Warning (13410): Pin "dram_a[5]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 162 Warning (13410): Pin "dram_a[6]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 162 Warning (13410): Pin "dram_a[7]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 162 Warning (13410): Pin "dram_a[8]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 162 Warning (13410): Pin "dram_a[9]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 162 Warning (13410): Pin "dram_a[10]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 162 Warning (13410): Pin "dram_a[11]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 162 Warning (13410): Pin "dram_a[12]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 162 Warning (13410): Pin "dram_ba[0]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 163 Warning (13410): Pin "dram_ba[1]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 163 Warning (13410): Pin "dram_dqm[0]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 165 Warning (13410): Pin "dram_dqm[1]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 165 Warning (13410): Pin "dram_clk" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 166 Warning (13410): Pin "dram_cke" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 167 Warning (13410): Pin "dram_ras_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 168 Warning (13410): Pin "dram_cas_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 169 Warning (13410): Pin "dram_we_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 170 Warning (13410): Pin "sram_a[0]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[1]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[2]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[3]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[4]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[5]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[6]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[7]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[8]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[9]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[10]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[11]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[12]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[13]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[14]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[15]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_a[16]" is stuck at GND File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 175 Warning (13410): Pin "sram_oe_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 177 Warning (13410): Pin "sram_we_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 178 Warning (13410): Pin "sram_ub_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 179 Warning (13410): Pin "sram_lb_n" is stuck at VCC File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 180 Info (286030): Timing-Driven Synthesis is running Info (17049): 44 registers lost all their fanouts during netlist optimizations. Warning (20013): Ignored 16 assignments for entity "mf_pllbase" -- entity does not exist in design Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_NAME altera_pll -entity mf_pllbase -sip core/mf_pllbase.sip -library lib_mf_pllbase was ignored Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_VERSION 18.1 -entity mf_pllbase -sip core/mf_pllbase.sip -library lib_mf_pllbase was ignored Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_ENV mwpim -entity mf_pllbase -sip core/mf_pllbase.sip -library lib_mf_pllbase was ignored Warning (20013): Ignored 317 assignments for entity "mf_pllbase_0002" -- entity does not exist in design Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" Info (16011): Adding 68 node(s), including 17 DDIO, 0 PLL, 0 transceiver and 0 LCELL Warning (21074): Design contains 8 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "clk_74b" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 49 Warning (15610): No output dependent on input pin "port_ir_rx" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 91 Warning (15610): No output dependent on input pin "scal_audadc" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 116 Warning (15610): No output dependent on input pin "cram0_wait" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 135 Warning (15610): No output dependent on input pin "cram1_wait" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 148 Warning (15610): No output dependent on input pin "vblank" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 185 Warning (15610): No output dependent on input pin "dbg_rx" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 191 Warning (15610): No output dependent on input pin "user2" File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 197 Info (21057): Implemented 2281 device resources after synthesis - the final resource count might be different Info (21058): Implemented 10 input pins Info (21059): Implemented 93 output pins Info (21060): Implemented 121 bidirectional pins Info (21061): Implemented 2008 logic cells Info (21064): Implemented 32 RAM segments Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 1151 warnings Info: Peak virtual memory: 581 megabytes Info: Processing ended: Tue Nov 7 18:08:37 2023 Info: Elapsed time: 00:00:11 Info: Total CPU time (on all processors): 00:00:18 Info: ******************************************************************* Info: Running Quartus Prime Fitter Info: Version 22.1std.2 Build 922 07/20/2023 SC Lite Edition Info: Processing started: Tue Nov 7 18:08:38 2023 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off ap_core -c ap_core Info: qfit2_default_script.tcl version: #1 Info: Project = ap_core Info: Revision = ap_core Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Info (16304): Mode behavior is affected by advanced setting Fitter Effort (default for this mode is Standard Fit) Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 12 of the 12 processors detected Info (119006): Selected device 5CEBA4F23C8 for design "ap_core" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Info (184020): Starting Fitter periphery placement operations Info (11191): Automatically promoted 2 clocks (2 global) Info (11162): clk_74a~inputCLKENA0 with 1338 fanout uses global clock CLKCTRL_G6 Info (11162): bridge_spiclk~inputCLKENA0 with 19 fanout uses global clock CLKCTRL_G10 Info (12525): This signal is driven by core routing -- it may be moved during placement to reduce routing delays Warning (16406): 1 global input pin(s) will use non-dedicated clock routing Warning (16407): Source REFCLK I/O is not placed onto a dedicated REFCLK input pin for global clock driver bridge_spiclk~inputCLKENA0, placed at CLKCTRL_G10 Info (179012): Refclk input I/O pad bridge_spiclk is placed onto PIN_T17 Info (184021): Fitter periphery placement operations ending: elapsed time is 00:00:00 Info (176233): Starting register packing Info (332104): Reading SDC File: 'apf/apf_constraints.sdc' Info (332104): Reading SDC File: 'core/core_constraints.sdc' Warning (332174): Ignored filter at core_constraints.sdc(7): ic|mp1|mf_pllbase_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk could not be matched with a clock File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332174): Ignored filter at core_constraints.sdc(7): ic|mp1|mf_pllbase_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk could not be matched with a clock File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332174): Ignored filter at core_constraints.sdc(7): ic|mp1|mf_pllbase_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk could not be matched with a clock File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332174): Ignored filter at core_constraints.sdc(7): ic|mp1|mf_pllbase_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk could not be matched with a clock File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Info (332050): set_clock_groups -asynchronous \ -group { bridge_spiclk } \ -group { clk_74a } \ -group { clk_74b } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk } File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332049): Ignored create_generated_clock at core_constraints.sdc(16): Argument: -master_clock must contain exactly one valid clock. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 16 Info (332050): create_generated_clock -divide_by 60 -duty_cycle 50 -master_clock [get_ports clk_74a] -source core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] -phase 0 -name vid_0 File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 16 Warning (332049): Ignored create_generated_clock at core_constraints.sdc(17): Argument: -master_clock must contain exactly one valid clock. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 17 Info (332050): create_generated_clock -divide_by 60 -duty_cycle 50 -master_clock [get_ports clk_74a] -source core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] -phase 90 -name vid_90 File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 17 Info (332104): Reading SDC File: 'core/core_constraints.sdc' Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Info (332050): set_clock_groups -asynchronous \ -group { bridge_spiclk } \ -group { clk_74a } \ -group { clk_74b } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk } File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332049): Ignored create_generated_clock at core_constraints.sdc(16): Argument: -master_clock must contain exactly one valid clock. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 16 Info (332050): create_generated_clock -divide_by 60 -duty_cycle 50 -master_clock [get_ports clk_74a] -source core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] -phase 0 -name vid_0 File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 16 Warning (332049): Ignored create_generated_clock at core_constraints.sdc(17): Argument: -master_clock must contain exactly one valid clock. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 17 Info (332050): create_generated_clock -divide_by 60 -duty_cycle 50 -master_clock [get_ports clk_74a] -source core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] -phase 90 -name vid_90 File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 17 Warning (332060): Node: core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|ddio_outa[0]~DFFLO is being clocked by core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] Warning (332060): Node: core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register mf_ddio_bidir_12:isclk|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|ddio_outa[0]~DFFHI0 is being clocked by core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info (332111): Found 3 clocks Info (332111): Period Clock Name Info (332111): ======== ============ Info (332111): 13.468 bridge_spiclk Info (332111): 13.468 clk_74a Info (332111): 13.468 clk_74b Info (176235): Finished register packing Extra Info (176219): No registers were packed into other blocks Info (128000): Starting physical synthesis optimizations for speed Info (128002): Starting physical synthesis algorithm register retiming Info (128003): Physical synthesis algorithm register retiming complete: estimated slack improvement of 167 ps Info (128002): Starting physical synthesis algorithm combinational resynthesis using boolean division Info (128003): Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 0 ps Info (128002): Starting physical synthesis algorithm register retiming Info (128003): Physical synthesis algorithm register retiming complete: estimated slack improvement of 0 ps Info (128002): Starting physical synthesis algorithm combinational resynthesis using boolean division Info (128003): Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 0 ps Info (128001): Physical synthesis optimizations for speed complete: elapsed time is 00:00:03 Info (176233): Starting register packing Info (176235): Finished register packing Extra Info (176219): No registers were packed into other blocks Info (11798): Fitter preparation operations ending: elapsed time is 00:00:08 Info (170189): Fitter placement preparation operations beginning Info (14951): The Fitter is using Advanced Physical Optimization. Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:08 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning Info (170195): Router estimated average interconnect usage is 0% of the available device resources Info (170196): Router estimated peak interconnect usage is 6% of the available device resources in the region that extends from location X44_Y11 to location X54_Y22 Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info (170201): Optimizations that may affect the design's routability were skipped Info (170200): Optimizations that may affect the design's timing were skipped Info (170194): Fitter routing operations ending: elapsed time is 00:00:03 Info (11888): Total time spent on timing analysis during the Fitter is 2.16 seconds. Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (11801): Fitter post-fit operations ending: elapsed time is 00:00:07 Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. Warning (169064): Following 117 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results Info (169065): Pin cart_tran_bank2[0] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 57 Info (169065): Pin cart_tran_bank2[1] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 57 Info (169065): Pin cart_tran_bank2[2] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 57 Info (169065): Pin cart_tran_bank2[3] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 57 Info (169065): Pin cart_tran_bank2[4] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 57 Info (169065): Pin cart_tran_bank2[5] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 57 Info (169065): Pin cart_tran_bank2[6] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 57 Info (169065): Pin cart_tran_bank2[7] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 57 Info (169065): Pin cart_tran_bank3[0] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 61 Info (169065): Pin cart_tran_bank3[1] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 61 Info (169065): Pin cart_tran_bank3[2] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 61 Info (169065): Pin cart_tran_bank3[3] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 61 Info (169065): Pin cart_tran_bank3[4] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 61 Info (169065): Pin cart_tran_bank3[5] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 61 Info (169065): Pin cart_tran_bank3[6] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 61 Info (169065): Pin cart_tran_bank3[7] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 61 Info (169065): Pin cart_tran_bank1[0] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 65 Info (169065): Pin cart_tran_bank1[1] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 65 Info (169065): Pin cart_tran_bank1[2] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 65 Info (169065): Pin cart_tran_bank1[3] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 65 Info (169065): Pin cart_tran_bank1[4] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 65 Info (169065): Pin cart_tran_bank1[5] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 65 Info (169065): Pin cart_tran_bank1[6] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 65 Info (169065): Pin cart_tran_bank1[7] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 65 Info (169065): Pin cart_tran_bank0[4] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 73 Info (169065): Pin cart_tran_bank0[5] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 73 Info (169065): Pin cart_tran_bank0[6] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 73 Info (169065): Pin cart_tran_bank0[7] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 73 Info (169065): Pin cart_tran_pin30 has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 77 Info (169065): Pin cart_tran_pin31 has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 86 Info (169065): Pin port_tran_si has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 96 Info (169065): Pin port_tran_so has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 98 Info (169065): Pin port_tran_sck has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 100 Info (169065): Pin port_tran_sd has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 102 Info (169065): Pin scal_vid[0] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 108 Info (169065): Pin scal_vid[1] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 108 Info (169065): Pin scal_vid[2] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 108 Info (169065): Pin scal_vid[3] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 108 Info (169065): Pin scal_vid[4] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 108 Info (169065): Pin scal_vid[5] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 108 Info (169065): Pin scal_vid[6] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 108 Info (169065): Pin scal_vid[7] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 108 Info (169065): Pin scal_vid[8] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 108 Info (169065): Pin scal_vid[9] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 108 Info (169065): Pin scal_vid[10] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 108 Info (169065): Pin scal_vid[11] has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 108 Info (169065): Pin scal_clk has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 109 Info (169065): Pin scal_de has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 110 Info (169065): Pin scal_skip has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 111 Info (169065): Pin scal_vs has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 112 Info (169065): Pin scal_hs has a permanently enabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 113 Info (169065): Pin cram0_dq[0] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[1] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[2] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[3] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[4] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[5] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[6] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[7] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[8] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[9] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[10] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[11] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[12] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[13] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[14] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram0_dq[15] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 134 Info (169065): Pin cram1_dq[0] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[1] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[2] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[3] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[4] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[5] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[6] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[7] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[8] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[9] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[10] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[11] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[12] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[13] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[14] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin cram1_dq[15] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 147 Info (169065): Pin dram_dq[0] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[1] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[2] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[3] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[4] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[5] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[6] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[7] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[8] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[9] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[10] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[11] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[12] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[13] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[14] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin dram_dq[15] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 164 Info (169065): Pin sram_dq[0] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[1] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[2] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[3] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[4] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[5] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[6] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[7] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[8] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[9] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[10] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[11] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[12] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[13] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[14] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin sram_dq[15] has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 176 Info (169065): Pin bist has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 202 Info (169065): Pin aux_sda has a permanently disabled output enable File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/apf/apf_top.v Line: 208 Info (144001): Generated suppressed messages file /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/output_files/ap_core.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 25 warnings Info: Peak virtual memory: 2471 megabytes Info: Processing ended: Tue Nov 7 18:09:18 2023 Info: Elapsed time: 00:00:40 Info: Total CPU time (on all processors): 00:02:14 Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 22.1std.2 Build 922 07/20/2023 SC Lite Edition Info: Processing started: Tue Nov 7 18:09:20 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off ap_core -c ap_core Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (115030): Assembler is generating device programming files Warning (12914): The file, /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/db/stp1_auto_stripped.stp, is not embedded into sof file as expected. Some tools, such as System Console, may not function fully. Info: Quartus Prime Assembler was successful. 0 errors, 2 warnings Info: Peak virtual memory: 537 megabytes Info: Processing ended: Tue Nov 7 18:09:22 2023 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:03 Info (293026): Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 22.1std.2 Build 922 07/20/2023 SC Lite Edition Info: Processing started: Tue Nov 7 18:09:23 2023 Info: Command: quartus_sta ap_core -c ap_core Info: qsta_default_script.tcl version: #1 Warning (20013): Ignored 16 assignments for entity "mf_pllbase" -- entity does not exist in design Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_NAME altera_pll -entity mf_pllbase -sip core/mf_pllbase.sip -library lib_mf_pllbase was ignored Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_VERSION 18.1 -entity mf_pllbase -sip core/mf_pllbase.sip -library lib_mf_pllbase was ignored Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_ENV mwpim -entity mf_pllbase -sip core/mf_pllbase.sip -library lib_mf_pllbase was ignored Warning (20013): Ignored 317 assignments for entity "mf_pllbase_0002" -- entity does not exist in design Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 12 of the 12 processors detected Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (332104): Reading SDC File: 'apf/apf_constraints.sdc' Info (332104): Reading SDC File: 'core/core_constraints.sdc' Warning (332174): Ignored filter at core_constraints.sdc(7): ic|mp1|mf_pllbase_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk could not be matched with a clock File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332174): Ignored filter at core_constraints.sdc(7): ic|mp1|mf_pllbase_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk could not be matched with a clock File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332174): Ignored filter at core_constraints.sdc(7): ic|mp1|mf_pllbase_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk could not be matched with a clock File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332174): Ignored filter at core_constraints.sdc(7): ic|mp1|mf_pllbase_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk could not be matched with a clock File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Info (332050): set_clock_groups -asynchronous \ -group { bridge_spiclk } \ -group { clk_74a } \ -group { clk_74b } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk } File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332049): Ignored create_generated_clock at core_constraints.sdc(16): Argument: -master_clock must contain exactly one valid clock. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 16 Info (332050): create_generated_clock -divide_by 60 -duty_cycle 50 -master_clock [get_ports clk_74a] -source core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] -phase 0 -name vid_0 File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 16 Warning (332049): Ignored create_generated_clock at core_constraints.sdc(17): Argument: -master_clock must contain exactly one valid clock. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 17 Info (332050): create_generated_clock -divide_by 60 -duty_cycle 50 -master_clock [get_ports clk_74a] -source core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] -phase 90 -name vid_90 File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 17 Info (332104): Reading SDC File: 'core/core_constraints.sdc' Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Info (332050): set_clock_groups -asynchronous \ -group { bridge_spiclk } \ -group { clk_74a } \ -group { clk_74b } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk } \ -group { ic|mp1|mf_pllbase_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk } File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332054): Assignment set_clock_groups is accepted but has some problems at core_constraints.sdc(7): Argument -group with value ic|mp1|mf_pllbase_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk could not match any element of the following types: ( clk ) File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 7 Warning (332049): Ignored create_generated_clock at core_constraints.sdc(16): Argument: -master_clock must contain exactly one valid clock. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 16 Info (332050): create_generated_clock -divide_by 60 -duty_cycle 50 -master_clock [get_ports clk_74a] -source core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] -phase 0 -name vid_0 File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 16 Warning (332049): Ignored create_generated_clock at core_constraints.sdc(17): Argument: -master_clock must contain exactly one valid clock. File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 17 Info (332050): create_generated_clock -divide_by 60 -duty_cycle 50 -master_clock [get_ports clk_74a] -source core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] -phase 90 -name vid_90 File: /home/mcc/work/f/analogue-core-template-amaranth/src/fpga/core/core_constraints.sdc Line: 17 Warning (332060): Node: core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|ddio_outa[0]~DFFLO is being clocked by core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] Warning (332060): Node: core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register mf_ddio_bidir_12:isclk|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|ddio_outa[0]~DFFHI0 is being clocked by core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Info: Analyzing Slow 1100mV 85C Model Info (332146): Worst-case setup slack is 5.556 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 5.556 0.000 clk_74a Info (332119): 10.496 0.000 bridge_spiclk Info (332146): Worst-case hold slack is 0.448 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.448 0.000 clk_74a Info (332119): 0.542 0.000 bridge_spiclk Info (332146): Worst-case recovery slack is 9.268 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 9.268 0.000 clk_74a Info (332146): Worst-case removal slack is 0.789 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.789 0.000 clk_74a Info (332146): Worst-case minimum pulse width slack is 5.407 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 5.407 0.000 clk_74a Info (332119): 5.847 0.000 bridge_spiclk Info (332114): Report Metastability: Found 11 synchronizer chains. Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. Info (332114): Number of Synchronizer Chains Found: 11 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 Info (332114): Worst Case Available Settling Time: 21.816 ns Info: Analyzing Slow 1100mV 0C Model Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Warning (332060): Node: core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|ddio_outa[0]~DFFLO is being clocked by core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] Warning (332060): Node: core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register mf_ddio_bidir_12:isclk|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|ddio_outa[0]~DFFHI0 is being clocked by core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Info (332146): Worst-case setup slack is 5.662 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 5.662 0.000 clk_74a Info (332119): 10.789 0.000 bridge_spiclk Info (332146): Worst-case hold slack is 0.427 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.427 0.000 clk_74a Info (332119): 0.519 0.000 bridge_spiclk Info (332146): Worst-case recovery slack is 9.460 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 9.460 0.000 clk_74a Info (332146): Worst-case removal slack is 0.930 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.930 0.000 clk_74a Info (332146): Worst-case minimum pulse width slack is 5.310 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 5.310 0.000 clk_74a Info (332119): 5.814 0.000 bridge_spiclk Info (332114): Report Metastability: Found 11 synchronizer chains. Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. Info (332114): Number of Synchronizer Chains Found: 11 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 Info (332114): Worst Case Available Settling Time: 21.711 ns Info: Analyzing Fast 1100mV 85C Model Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Warning (332060): Node: core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|ddio_outa[0]~DFFLO is being clocked by core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] Warning (332060): Node: core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register mf_ddio_bidir_12:isclk|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|ddio_outa[0]~DFFHI0 is being clocked by core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Info (332146): Worst-case setup slack is 9.505 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 9.505 0.000 clk_74a Info (332119): 12.157 0.000 bridge_spiclk Info (332146): Worst-case hold slack is 0.179 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.179 0.000 clk_74a Info (332119): 0.186 0.000 bridge_spiclk Info (332146): Worst-case recovery slack is 11.143 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 11.143 0.000 clk_74a Info (332146): Worst-case removal slack is 0.563 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.563 0.000 clk_74a Info (332146): Worst-case minimum pulse width slack is 5.206 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 5.206 0.000 clk_74a Info (332119): 6.372 0.000 bridge_spiclk Info (332114): Report Metastability: Found 11 synchronizer chains. Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. Info (332114): Number of Synchronizer Chains Found: 11 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 Info (332114): Worst Case Available Settling Time: 24.287 ns Info: Analyzing Fast 1100mV 0C Model Warning (332060): Node: core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register mf_ddio_bidir_12:isco|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|ddio_outa[0]~DFFLO is being clocked by core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[0] Warning (332060): Node: core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register mf_ddio_bidir_12:isclk|altddio_bidir:ALTDDIO_BIDIR_component|ddio_bidir_euo:auto_generated|ddio_outa[0]~DFFHI0 is being clocked by core_top:ic|amaranth_core:ac|amaranth_core.video_clk_div:video_clk_div|clk_reg[15] Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Info (332146): Worst-case setup slack is 9.898 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 9.898 0.000 clk_74a Info (332119): 12.299 0.000 bridge_spiclk Info (332146): Worst-case hold slack is 0.147 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.147 0.000 clk_74a Info (332119): 0.176 0.000 bridge_spiclk Info (332146): Worst-case recovery slack is 11.406 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 11.406 0.000 clk_74a Info (332146): Worst-case removal slack is 0.516 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.516 0.000 clk_74a Info (332146): Worst-case minimum pulse width slack is 5.158 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 5.158 0.000 clk_74a Info (332119): 6.382 0.000 bridge_spiclk Info (332114): Report Metastability: Found 11 synchronizer chains. Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. Info (332114): Number of Synchronizer Chains Found: 11 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 Info (332114): Worst Case Available Settling Time: 24.500 ns Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 30 warnings Info: Peak virtual memory: 1236 megabytes Info: Processing ended: Tue Nov 7 18:09:31 2023 Info: Elapsed time: 00:00:08 Info: Total CPU time (on all processors): 00:00:13 Info (293000): Quartus Prime Full Compilation was successful. 0 errors, 1208 warnings Info (23030): Evaluation of Tcl script /home/mcc/usr/intelFPGA_lite/22.1std/quartus/common/tcl/internal/qsh_flow.tcl was successful Info: Quartus Prime Shell was successful. 0 errors, 1208 warnings Info: Peak virtual memory: 505 megabytes Info: Processing ended: Tue Nov 7 18:09:32 2023 Info: Elapsed time: 00:01:07 Info: Total CPU time (on all processors): 00:02:50